Loading…

Instructional Tools for Designing and Analysing a Very Simple CPU

The Very Simple CPU is an instructional aid developed to introduce students to the process of designing a microprocessor. It allows students to focus on design principles without becoming overwhelmed with complex design specifications. This paper describes the CPU and two tools used to teach student...

Full description

Saved in:
Bibliographic Details
Published in:International journal of electrical engineering & education 2006-07, Vol.43 (3), p.261-270
Main Authors: Carpinelli, John D., Zaman, Titu
Format: Article
Language:English
Subjects:
Online Access:Get full text
Tags: Add Tag
No Tags, Be the first to tag this record!
Description
Summary:The Very Simple CPU is an instructional aid developed to introduce students to the process of designing a microprocessor. It allows students to focus on design principles without becoming overwhelmed with complex design specifications. This paper describes the CPU and two tools used to teach students about CPU design, VHDL implementations and a Java-based simulator.
ISSN:0020-7209
2050-4578
DOI:10.7227/IJEEE.43.3.7