A hardened network-on-chip design using runtime hardware Trojan mitigation methods

Due to the globalized semiconductor business model, malicious hardware modifications, known as hardware Trojans (HTs), have risen up as a big concern for chip security. HT detection and mitigation methods for general integrated circuits have been investigated in the past decade. However, the majorit...

Full description

Saved in:
Bibliographic Details
Published in:Integration (Amsterdam) 2017-01, Vol.56, p.15-31
Main Authors: Frey, Jonathan, Yu, Qiaoyan
Format: Article
Language:eng
Subjects:
Online Access:Get full text
Tags: Add Tag
No Tags, Be the first to tag this record!
Description
Summary:Due to the globalized semiconductor business model, malicious hardware modifications, known as hardware Trojans (HTs), have risen up as a big concern for chip security. HT detection and mitigation methods for general integrated circuits have been investigated in the past decade. However, the majority of the existing efforts are not customized for HTs in Networks-on-Chip (NoCs). To complement the firmware and software level methods for rogue NoCs detection, we propose countermeasures to harden the NoC hardware design against tampering. More specifically, we propose a collaborative dynamic permutation and flit integrity check method to mitigate the potential inside-router HTs inserted by the disloyal member in the NoC design house or the 3rd-party system integration company. Our method improves the number of received packets by up to 70.1% over the other methods if the HT controls the NoC packet destination address. The average link availability of our method is 43.7% higher than that of the exiting methods. Our method increases the effective average latency by up to 63.4%, 68.2%, and 98.9% for the single HT in the destination, header, and tail fields, respectively, over the existing methods. •We propose countermeasures to harden the NoC design, rather than fully relying on software or firmware solutions to detect a compromised NoC used in the MPSoC.•Our router-level HT mitigation mechanism raises the bar for an adversary to simultaneously control multiple routing hops to create a malicious communication path between two IP cores in the NoC-based MPSoC.•The proposed collaborative dynamic permutation and flit integrity check method is capable of examining the invariables of NoC to immediately terminate the detected HTs.
ISSN:0167-9260
1872-7522